基于FPGA的PWM信号发生器任务书

 2021-08-20 12:08

1. 毕业设计(论文)主要目标:

PWM信号发生器是实验室常见的一种仪器,其控制方法也是包括模拟电路、数字电路和计算机控制等方法。其中,计算机控制的数字信号发生器因为功能多、精度高成为现代信号发生器的主要控制方法。通过专用集成芯片或中小规模数字集成电路构成的传统PWM控制电路往往存在电路设计复杂、体积大、抗干扰能力差以及设计困难、设计周期长等缺点,因此PWM控制电路的模块化、集成化已成为发展趋势。

本文设计的是一种基于FPGA和Verilog语言的PWM信号发生器,提出了一种在FPGA器件上实现PWM发生器的设计方法。将设计分拆为输入模块、相位累加模块、方波产生及波形存储模块、波形合成模块、液晶显示模块等子模块,每个子模块都相对功能简单,并且易于设计和优化。

2. 毕业设计(论文)主要内容:

采用可编程逻辑器件FPGA 构成PWM 发生器,FPGA 部分的设计是以Altera 公司的Quartus II 软件为开发平台, 采用Verilog HDL 语言编程实现内部各功能模块的设计输入、编译、仿真等调试工作。

本次设计实现的主要功能有:

不同PWM信号的产生;

剩余内容已隐藏,您需要先支付后才能查看该篇文章全部内容!

3. 主要参考文献

[1]张 岩, 宋 翔. 基于FPGA的高精度PWM发生器设计与实现[J]. 微计算机信息, 2009, 25(1-2): 162-164.

[2]孟庆辉.基于FPGA的PCI接口设计[D].哈尔滨:哈尔滨工业大学,2006:1.

[3]周林,蒋建文,易强,罗眉. PWM控制器的控制方法[J].重庆邮电学院学报, 2011,6,增刊:110-113.

剩余内容已隐藏,您需要先支付 10元 才能查看该篇文章全部内容!立即支付

以上是毕业论文任务书,课题毕业论文、开题报告、外文翻译、程序设计、图纸设计等资料可联系客服协助查找。